verilog
Hola Mundo
Buscar..
Compilando y ejecutando el ejemplo
Suponiendo un archivo fuente de hello_world.v
y un módulo de nivel superior de hello_world
. El código se puede ejecutar utilizando varios simuladores. La mayoría de los simuladores se compilan simuladores. Requieren múltiples pasos para compilar y ejecutar. Generalmente el
- El primer paso es compilar el diseño de Verilog.
- El segundo paso es elaborar y optimizar el diseño.
- El tercer paso es ejecutar la simulación.
Los detalles de los pasos podrían variar según el simulador, pero la idea general sigue siendo la misma.
Proceso de tres pasos utilizando el simulador de cadencia
ncvlog hello_world.v
ncelab hello_world
ncsim hello_world
- El primer paso en ncvlog es compilar el archivo hello_world.v
- El segundo paso ncelab es elaborar el código con el módulo de nivel superior hello_world.
- El tercer paso ncsim es ejecutar la simulación con el módulo de nivel superior hello_world.
- El simulador genera todo el código compilado y optimizado en una biblioteca de trabajo. [INCA_libs - nombre de la biblioteca por defecto]
Un solo paso utilizando el simulador de cadencia.
La línea de comando llamará internamente a los tres pasos requeridos. Esto es para imitar el estilo de ejecución del simulador interpretado más antiguo (línea de comando única).
irun hello_world.v
or
ncverilog hello_world.v
Hola Mundo
El programa produce Hello World! a la salida estándar.
module HELLO_WORLD(); // module doesn't have input or outputs
initial begin
$display("Hello World");
$finish; // stop the simulator
end
endmodule
El módulo es un bloque de construcción básico en Verilog. Representa una colección de elementos y está encerrada entre el módulo y la palabra clave del módulo final. Aquí hello_world es el módulo más superior (y el único).
El bloque inicial se ejecuta al inicio de la simulación. El comienzo y el final se utilizan para marcar el límite del bloque inicial. $display
envía el mensaje a la salida estándar. Inserta y final de línea "\ n" al mensaje.
Este código no se puede sintetizar, es decir, no se puede colocar en un chip.