Buscar..
Introducción
Cualquier lenguaje de programación decente soporta comentarios. En VHDL son especialmente importantes porque comprender un código VHDL, incluso moderadamente sofisticado, es a menudo un desafío.
Comentarios de una sola línea
Un comentario de una sola línea comienza con dos guiones ( --
) y se extiende hasta el final de la línea. Ejemplo:
-- This process models the state register
process(clock, aresetn)
begin
if aresetn = '0' then -- Active low, asynchronous reset
state <= IDLE;
elsif rising_edge(clock) then -- Synchronized on the rising edge of the clock
state <= next_state;
end if;
end process;
Comentarios delimitados
A partir de VHDL 2008, un comentario también puede extenderse en varias líneas. Los comentarios de líneas múltiples comienzan con /*
y terminan con */
. Ejemplo:
/* This process models the state register.
It has an active low, asynchronous reset
and is synchronized on the rising edge
of the clock. */
process(clock, aresetn)
begin
if aresetn = '0' then
state <= IDLE;
elsif rising_edge(clock) then
state <= next_state;
end if;
end process;
Los comentarios delimitados también se pueden usar en menos de una línea:
-- Finally, we decided to skip the reset...
process(clock/*, aresetn*/)
begin
/*if aresetn = '0' then
state <= IDLE;
els*/if rising_edge(clock) then
state <= next_state;
end if;
end process;
Comentarios anidados
Iniciar un nuevo comentario (una sola línea o delimitado) dentro de un comentario (una sola línea o delimitado) no tiene ningún efecto y se ignora. Ejemplos:
-- This is a single-line comment. This second -- has no special meaning.
-- This is a single-line comment. This /* has no special meaning.
/* This is not a
single-line comment.
And this -- has no
special meaning. */
/* This is not a
single-line comment.
And this second /* has no
special meaning. */
Modified text is an extract of the original Stack Overflow Documentation
Licenciado bajo CC BY-SA 3.0
No afiliado a Stack Overflow